Skip to main content

Partition Based Product Term Retiming for Reliable Low Power Logic Structure

  • Conference paper
  • First Online:
Advances in Information and Communication Networks (FICC 2018)

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 886))

Included in the following conference series:

  • 1060 Accesses

Abstract

Filtering is the one of the core element in any of the low power VLSI signal processing architecture. Increasing filter tap length will cause on the hardware complexity and lead to more power dissipation. The digital circuit requires some specialized algorithm to achieve high speed or low power consumption thereby to increase the chip performance. In this research work a modified retiming algorithm is proposed to reduce power dissipation by placing the Flip flops at the resultant multiplication of the output nodes. The proposed architecture equations are simplified in terms of sum of product term and distribute the weight of product terms by applying retiming method. In the proposed architecture design the flip flops are placed to fan out of partition multiplication to minimize the switching activity factor. In this paper, to prove the performance of chip sum-of-product term retiming is applied, this can be implemented for any digital circuit to reduce total power. The proposed algorithms have been implemented in cadence EDA tool and the results are proved by using finite-impulse response (FIR) and infinite-impulse response (IIR) filters. Using Digital Signal Processing (DSP) application, proposed algorithm is synthesized to ensure that power saving is achieved compared to existing method. The method proves Energy per sample (EPS) as much better than node-splitting and node-merging technique. Experimental results shows power dissipation is minimized compared to other FIR architecture. Complete design task is modeled using Data Flow Graph (DFG) to achieve more precise result.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Kumar, B., Meher, M.P.K.: A high-performance FIR filter architecture for fixed and reconfigurable applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. (2015)

    Google Scholar 

  2. Leiserson, C., Rose, F., Saxe, J.B.: Optimizing synchronous circuitry by retiming. In: Proceeding of the Caltech Conference on VLSI, 3rd edn. (1983)

    Google Scholar 

  3. Leiserson, C., Rose, F., Saxe, J.B.: Retiming synchronous circuitry. Algorithmica (1991)

    Google Scholar 

  4. Gomez-Prado, D., Ciesielski, M., Tessier, R.: FPGA latency optimization using system-level transformations and DFG restructuring. In: DATE Conference (2013)

    Google Scholar 

  5. Venkataramani, G., Gu, Y.: System-level retiming and pipelining. In: IEEE 2nd Annual International Symposium on Field Programmable Custom Computing Machines (2014)

    Google Scholar 

  6. Monteiro, J., Devadas, S., Ghosh, A.: Retiming sequential circuits for low power. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (1993)

    Google Scholar 

  7. Lalgudi, K.N., Papaefthymiou, M.: Fixed phase retiming for low power. In: Proceedings of the International Symposium of Low Power Electronic Devices (1996)

    Google Scholar 

  8. Sinanoglu, O., Agrawal, V.D.: Retiming scan circuit to eliminate timing penalty. In: Proceedings of IEEE International Conference (2012)

    Google Scholar 

  9. Meher, P.K.: On efficient retiming of fixed-point circuits. Trans. Very Large Scale Integr. (VLSI) Syst. (2016)

    Google Scholar 

  10. Nanda, R.: DSP architecture optimization in MATLAB/Simulink environment, M.S. thesis, University of California, Los Angeles (2008)

    Google Scholar 

  11. Samanta, S., Chakraborty, M.: FPGA based implementation of high speed tunable Notch Filter using pipelining and unfloding. In: IEEE Conference (2014)

    Google Scholar 

  12. Simon, S., Schimpfle, C.V., Wroblewski, M., Nossek, J.A.: Retiming of latches for power reduction of DSP design. In: Proceedings of the IEEE International Symposium on Circuits and Systems (1997)

    Google Scholar 

  13. Elloumi, Y., Akil, M., Bedoui, M.H.: Execution time optimization using delayed multidimensional retiming. In: IEEE/ACM 16th International Symposium on Distributed Simulation and Real Time Applications (2012)

    Google Scholar 

  14. Hara-Azumi, Y., Matsuba, T., Tomiyama, H., Honda, S., Takada, H.: Selective resource sharing with RT-level retiming for clock enhancement in high-level synthesis. In: 2012 IEEE 14th International Conference on High Performance Computing and Communications (2014)

    Google Scholar 

  15. Che, W., Chatha, K.S.: Unrolling and retiming of stream applications onto embedded multicore processors. In: DAC (2012)

    Google Scholar 

  16. Zhu, X.-Y., Basten, T., Geilen, M., Stuijk, S.: Efficient retiming of multirate DSP algorithms. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(6) (2012)

    Article  Google Scholar 

  17. Park, S.Y., Meher, P.K.: Efficient FPGA and ASIC realizations of a DA-based reconfigurable FIR digital filter. IEEE Trans. Circuits Syst., II, Exp. Briefs 61(7) (2014)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. Jalaja .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Jalaja, S., Vijaya Prakash, A.M. (2019). Partition Based Product Term Retiming for Reliable Low Power Logic Structure. In: Arai, K., Kapoor, S., Bhatia, R. (eds) Advances in Information and Communication Networks. FICC 2018. Advances in Intelligent Systems and Computing, vol 886. Springer, Cham. https://doi.org/10.1007/978-3-030-03402-3_13

Download citation

Publish with us

Policies and ethics